In this paper, we use the potential of the near-data parallel computing presented in the Hybrid Memory Cube (HMC) to process near-data query filters and mitigate the data movement through the memory hierarchy up to the x86 processor. In particular, we present a set of extensions to the HMC Instruction Set Architecture (ISA) to filter data in-memory. Our near-data filters support vector instructions and solve data and control dependencies internally in the memory: internal register bank and branch-less evaluation of data filters transform control-flow dependencies into data-flow dependencies (i.e., predicated execution). We implemented the near-data filters in the select scan operator and we discuss preliminary results for projection and join. Our experiments running the select scan achieve performance improvements of up to 5.64x with an average reduction of 80% in energy consumption when executing a micro-benchmark of the 1 GB TPC-H database.

International Workshop on Accelerating Data Management Systems Using Modern Processor and Storage Architectures
Database Architectures

Gomes Tomé, D., Kepe, T., Alves, M., & de Almeida, E. (2018). Near-data filters: Taking another brick from the memory wall. In Proceedings of the International Workshop on Accelerating Analytics and Data management Systems Using Modern Processor and Storage Architectures (pp. 42–50).